Wednesday, November 30, 2005

Managing variations in IC physical design

EETimes.com - Managing variations in IC physical design is a great paper on the design closure challenges facing nanometer-scale IC design.

The author, Shankar Krishnamoorthy of Sierra Design Automation, describes the very typical timing analysis and design closure flow, where many different modes and PVT corners must be considered, yet closure tools only understand one or two of the scenarios.

The rigorous treatment of design variation, classified in a matrix of variablility "causes" vs. "effects", is the best treatment I've seen in a public article. (EDA vendors will show similar analyses when privately pitching their new variation-aware products.)

Surprisingly, the author does not conclude that "statistical" timing analysis, which is all the rage in the EDA community, is a panacea. He points out the difficulties of getting statistical characterization data for process, libraries, and interconnect. He also asserts that Hold time violations require analysis at whichever corner most aggravates a particular violation (calling for multi-corner analysis).

Very thought provoking! It will be interesting to see what products Sierra comes up with to address this growing IC design closure problem.

Monday, November 28, 2005

Teardowns find 'Microsoft Inside' Xbox 360

Here's another, more detailed, Xbox 360 "reverse engineering" article: EETimes.com - Teardowns find 'Microsoft Inside' Xbox 360.

Most of the chips have Microsoft's label on the package. At least in the case of the GPU, I believe that Microsoft bought the design from ATI and is paying royalties. I've read that this is a different business model from that of the original Xbox. Microsoft apparently wants more control over the silicon and any cost reduction efforts.

Wednesday, November 23, 2005

Microsoft's Red-Ink Game (Xbox 360)

Microsoft's Red-Ink Game provides cost estimates of the just-released and super-hyped Xbox 360 game console. Estimates are that it costs $126 more that the sale price to build the box.

Looking just at the chips, this machine has some expensive silicon:

Semiconductors alone account for $340, -- more than 72% of the materials cost -- iSuppli estimates. One key component, the IBM-designed microprocessor chip at the center of the console (see BW Online, 10/25/05, "Inside IBM's Xbox Chip") costs about $106. Both IBM and Chartered Semiconductor (CHRT) of Singapore are building the chip for Microsoft.

ROOM FOR IMPROVEMENT. Analyst Chris Crotty with iSuppli says that as both companies improve their manufacturing efficiency and production yields, they will likely reduce the chip's cost by 20% to 25%. The same will likely apply to ATI (ATYT), which is building the graphics-processing unit, or GPU, for the Xbox. iSuppli estimates that the chip is the most expensive component in the system at $141.

And how about the cost of the Sony PlayStation 3, to be released next year?

Crotty expects that Sony's loss on the Playstation 3 may be even wider, as the cell processor that IBM, Toshiba, and Sony designed for the system is more complex.

Estimates vary as to how much the cell processor will cost. Richard Doherty of Envisioneering Group in Seaford, N.Y., expects the cell chip to cost about 50% more than the Microsoft chip. "Based on what we've seen so far, the Playstation 3 could cost as much as $600 to make in today's pricing," Doherty says.

And Crotty says that since it's a more complex chip, its price will fall more slowly than the price on the Xbox chip.

Ouch! No wonder the games cost $60 per title, to make up for the hardware losses.

Monday, November 21, 2005

Sramana Mitra on Strategy

I enjoy this blog by Sramana Mitra, who is a technology and business prognosticator. His Her blog both covers the big picture and contains nuggets of individual business/career opportunities, such as

Configuring and managing Home Networks will be a big profession, and this job cannot be outsourced as easily. A largely non-tech savvy consumer population will demand that service personnel come out to their homes and fix things. Who pays? Consumer or Carrier? Without this support, Convergence will not cross the chasm.

-- Sramana Mitra on Strategy; Blog Archive; Cisco: Inching Us to True Convergence

11/22 UPDATE: A loyal reader (from India) pointed out that Sramana is a woman, not a man. My apologies! I've corrected my wording.

Tuesday, November 15, 2005

ICCAD keynote | Gabe on EDA

Tets Maniwa's article (on the Gabe on EDA blog) summarizing the ICCAD keynote is a well-written overview of the Cell processor design tradeoffs.

I'm sorry I missed ICCAD; it's right here in Silicon Valley. I need to get on the right mailing list to hear about such things. It's not as practical a conference as SNUG, but it's a good resource for a look at future design technology.

Monday, November 14, 2005

EETimes.com - Alarming export: engineers

For a long time (since even I was a college student), US Engineering graduate schools have been populated primarily by foreign-born students, particularly from China, Taiwan, and India.

It used to be that such student would typically stay in the US to work. This was controversial, requiring H1B visa, and some allegations of taking American jobs or depressing wages.

Today, these students aren't necessarily sticking around. They're going back to work in their home countries, where they may be more comfortable or sense more opportunity. An example of this is described in EETimes.com - Alarming export: engineers.

Now these very bright students are taking their knowledge and work ethic back home. It's probably a net loss to the US to not have them sticking around. It shows you should be careful what you wish for!

Friday, November 11, 2005

Semiconductor Workforce Demands and Opportunities

Semiconductor Workforce Demands and Opportunities lists the specific job titles that semiconductor employers are looking for hardest.

It's an interesting list. Some of it makes sense, some doesn't. It would be best for me to look into the Analog/Mixed-Signal (AMS) field, since I'm not qualified to fill the African-American or Female Technicals openings.

Tuesday, November 01, 2005

Magma plans tools to address complexity challenges

Magma plans tools to address complexity challenges is an intriguing pre-announcement. What will this "thing" be?

There's always tension between wanting a "one-stop-shop" with a highly integrated environment and flow, and wanting "best of breed" tools that produce the best, fastest results. Best of breed usually wins. Getting to an integrated environment? That's what CAD departments are for. ;-)